专利摘要:

公开号:NL1035913A1
申请号:NL1035913
申请日:2008-09-10
公开日:2009-03-17
发明作者:Maarten Marinus Johannes Wilhelmus Van Herpen;Wouter Anthon Soer
申请人:Asml Netherlands Bv;
IPC主号:
专利说明:

METHOD FOR THE PROTECTION OF AN OPTICAL ELEMENT OF A LITHOGRAPHIC EQUIPMENT AND DEVICE MANUFACTURING METHOD
FIELD
The present invention relates to a method for the protection of an optical element or a lithographic apparatus and to a device manufacturing method.
BACKGROUND A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., including part or one or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the "scanning" direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
In a lithographic projection apparatus, the size of features that can be imaged onto the substrate is limited by the wavelength of the projection radiation. To produce integrated circuits with a higher density of devices, and hence higher operating speeds, it is desirable to be able to image smaller features. While most current lithographic projection apparatus employ ultraviolet light generated by mercury lamps or excimer lasers, it has been proposed to use shorter wavelength radiation, e.g. or around 13 nm. Such radiation is termed extreme ultraviolet (EUV) or soft x-ray, and possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings.
The source of EUV radiation is typically a plasma source, for example a laser-produced plasma or a discharge source. A common feature of any plasma source is the production of fast ions and atoms, which are distributed from the plasma in all directions. These particles can be damaging to the collector and condenser mirrors which are generally multilayer mirrors or grazing incidence mirrors, with fragile surfaces. This surface is gradually degraded due to the impact, or sputtering, or the particles expelled from the plasma and the lifetime of the mirrors is thus decreased. The sputtering effect is particularly problematic for the radiation collector or collector mirror. The purpose of the collector is to collect radiation which is emitted in all directions by the plasma source and directly to other mirrors in the illumination system. The radiation collector is positioned very close to, and in line-of-sight with, the source of EUV in the plasma source and therefore receives a large flux or fast particles from the plasma. Other mirrors in the system are generally damaged to a lesser degree by sputtering or particles expelled from the plasma since they may be shielded to some extent.
In the near future, extreme ultraviolet (EUV) sources will probably use tin (Sn) or another metal vapor to produce EUV radiation. This tin may be deposited on mirrors, e.g., a mirror of the radiation collector, and / or leak into the lithographic apparatus. A mirror or such a radiation collector may have an EUV reflecting top layer or, for example, ruthenium (Ru). Deposition of more than approximately 10 nm tin (Sn) on the reflecting Ru layer may reflect EUV radiation in the same way as bulk Sn. The overall transmission of the collector would decrease significantly, since the reflection coefficient or tin is much lower than the reflection coefficient or ruthenium.
In order to prevent debris from the source or secondary particles generated by this debris from depositing on an optical element, a contaminant barrier may be used. Though such a contaminant barrier may remove part of the debris, some debris will still tend to deposit on the radiation collector or other optical elements.
SUMMARY
It is an aspect of the present invention to provide a method for the protection of an optical element or a lithographic apparatus. It is an aspect of the invention to provide a device manufacturing method, the optical element of the lithographic apparatus is protected according to the method of protection.
According to an aspect of the invention, there is provided a method for the protection of an optical element or a lithographic apparatus, the optical element having a surface, the method including providing a deposition gas including SnfU to the surface of the optical element to deposit a Sn cap layer on the surface of the optical element.
Further, to that end, an aspect of the invention provides a device manufacturing method using a lithographic apparatus, the lithographic apparatus comprising an optical element having a surface with a Sn cap layer. Both the method for the protection and the device manufacturing method are indicated as "method" and the term "method" refers to both the method for the protection and the device manufacturing method unless indicated otherwise or unless clear from the description.
In an embodiment, the Sn cap layer comprises at least 95 wt.% Sn, or at least 98 wt.% Sn, desirably before use of the lithographic apparatus. Other elements present in the cap layer may, in an embodiment, be selected from the group consisting of O, C and Si.
The method provides a protective cap layer to the optical element. Sn debris from a Sn source, assuming a lithographic apparatus uses a source of radiation based on a Sn plasma, may form domains on the surface of the optical element, the deliberately deposited Sn cap layer protects the optical element and diminishes optical deviances as a result or Sn debris deposition. SnH4, when coming in contact with the surface of the optical element, spontaneously forms the Sn cap layer. Other hydrides (such as SiH4) may, under the conditions of a lithographic apparatus, need thermal activation or other activation to decompose and result into a cap layer. SiH4 typically decomposes at about 450 ° C whereas SnH4 typically already decomposes at about -50 ° C.
In an embodiment, the lithographic apparatus comprises a source of radiation constructed to generate EUV radiation from the source of radiation is a Sn plasma source. Herein, the term "constructed to generate EUV radiation" refers to sources which are designed to generate EUV radiation and which are designed to be used in EUV lithography. The source of radiation may include a laser produced plasma source (LPP) or a discharge produced plasma source (Sn plasma sources), respectively.
The cap layer has, in an embodiment, a mean layer thickness in the range of about 0.05 -1.5 nm, or about 0.1 - 0.9 nm, or or about 0.3 - 0.6 nm. In an embodiment, the cap layer has a substantially uniform layer thickness, ie the deviation in layer thickness from the mean layer thickness are, in an embodiment, less than about 50% of the mean layer thickness, or not larger than about 0.2 or not larger than about 0.3 nm.
During lithographic processing, the cap layer may be damaged. For instance, debris from the source, such as Sn particles or agglomerates may impinge on the cap layer and may lead to a cap layer which is not smooth but which has defects (i.e. a non-uniform cap layer). Hence, in an embodiment, the method further comprises a repair process. This repair process may be applied after some running time of the lithographic apparatus, i.e. after using the lithographic apparatus some time for manufacturing devices, or in an edition during use of the lithographic apparatus. The repair process may be a partial or complete repair of the damaged cap layer.
In an embodiment, the method further comprises using the lithographic apparatus and subsequent exposing at least part of the cap layer to a repair gas containing hydrogen radicals. Due to the presence of hydrogen radicals, Sn from the Sn cap layer can be redistributed, at least partially repairing the damaged cap layer. It seems that SnH4, which is formed by the exposure of the cap layer with the gas containing hydrogen radicals forms Sn deposition of bare pieces of the optical element of the damaged cap layer. Due to this redistribution, a new or renewed cap layer is formed. In an embodiment, the damaged cap layer is exposed to the repair gas until the cap layer has a mean layer thickness selected from the range of 0.05 - 1 nm or 0.05 - 0.8 nm, is obtained.
In an embodiment, the method further comprises using the lithographic apparatus and subsequent exposing at least part of the cap layer to repair gas including SnH4. In this way, irregularities or even regions within the cap layer, may be filled with Sn, which is formed by decomposition or SnII4 on the (damaged) cap layer. In an embodiment, the damaged cap layer is exposed to the repair gas (including SnH4) until the cap layer has a mean layer thickness selected from the range of 0.05 - 1.5 nm.
In an embodiment, both hydrogen radicals and SnH4 are comprised in the repair gas, i.e. the method further comprises using the lithographic apparatus and subsequently exposing at least part of the cap layer to a repair gas comprising SnH4 and hydrogen radicals.
The cap layer may be damaged too much to be repaired, for instance with the above described repair processes with hydrogen radicals and / or SnH4. Hence, in an embodiment, the (damaged) cap layer is at least almost completely removed and a "fresh" cap layer is deposited on the surface of the optical element. In an embodiment, the method further comprises using the lithographic apparatus and following exposing at least part of the cleaning gas layer, removing at least part of the cleaning gas layer, and providing the depositing gas containing SnH4 to the surface to deposit a fresh Sn cap layer on the surface of the optical element. In this way, a dynamic cap layer is provided, and a method is provided for the protection of the optical element, as well as a device manufacturing method, the optical element is protected with a dynamic cap layer. The term "fresh cap layer" refers to a new cap layer that is provided after at least almost completely having removed a previous cap layer. In an embodiment, the term "subsequently" refers in an embodiment to "after some lithographic processing time" and refers in a specific embodiment to "after some lithographic processing time while still processing" (i.e., during use of the lithographic apparatus). In the latter edition, one or more of the processes of depositing, repairing and removing the cap layer are performed while processing with the lithographic apparatus.
In an embodiment, the cleaning gas may comprise a halogen, i.e. a gas comprising one or more halogens selected from the group consisting of F2, Cl2, Br2 and I2. These gases may almost completely remove the entire cap layer. Hence, in an embodiment, almost the complete Sn cap layer is removed by the cleaning gas. In an embodiment, the cleaning gas comprises I2.
The optical element may be any optical element. In an embodiment, the optical element is a collector mirror, the surface is a reflective surface or the collector mirror. The surface of the optical element is a surface that is designed to reflect, refract or transmit the radiation of the source (for which the source is constructed) e.g., to reflect, refract or transmit EUV radiation.
In principle, an embodiment of the method may be partially applied outside the apparatus. For instance, the cap layer may be generated ex situ from the lithographic apparatus, the cap layer may be repaired ex situ from the lithographic apparatus and / or the cap layer may be removed from the lithographic apparatus. However, in an embodiment, the process of providing the deposition gas including SnH4 to the surface of the optical element to deposit the Sn cap layer on the surface of the optical element is an in situ lithographic apparatus process. In an embodiment, the process of exposing at least part of the cap layer to a repair gas is an in situ lithographic apparatus process. In an embodiment, the process of exposing at least part of the cap layer to a cleaning gas, removing at least part of the Sn cap layer by the cleaning gas, and optionally the process of further providing the deposition gas including SnH4 to the surface to deposit a fresh Sn cap layer on the surface of the optical element is an in situ lithographic apparatus process. In an embodiment, one or more of the processes (including all (optional) processes) is performed in situ or the lithographic apparatus.
In a further aspect, a device manufacturing method is provided using a lithographic apparatus, the lithographic apparatus comprising an optical element having a surface with a Sn cap layer (as described above). The optical element having the surface with the Sn cap layer is, in an embodiment, provided by providing a deposition gas comprising SnH4 to the surface to deposit the Sn cap layer on the surface of the optical element in situ in the lithographic apparatus. According to a further aspect, a lithographic apparatus is provided, the lithographic apparatus including an optical element, the optical element having a surface, the lithographic apparatus further including a gas source configured to supply a gas including SnH4 and to a direct flow of gas to the surface of the optical element and a cleaning gas source configured to supply a cleaning gas including a halogen and to a direct flow of cleaning gas to a Sn cap layer on the surface of the optical element. As mentioned above, the Sn cap layer is desirably a dynamic cap layer. The term "dynamic cap layer" refers to a Sn cap layer that may be removed, for instance after use of the lithographic apparatus, and may be formed again as fresh cap layer, for instance before a next use of the lithographic apparatus. The apparatus may further comprise a gas source configured to supply a gas including hydrogen radicals and may optionally comprise a Sn substrate. The Sn substrate is a substrate comprising Sn, such as a Sn layer, spatially separate from the optical element. The Sn substrate and the source of the gas containing hydrogen radicals may be arranged to provide a flow of SnH4 in the direction of the surface of the optical element. The hydrogen radicals may react with the Sn substrate to form SnH4.
In an embodiment, the lithographic apparatus comprises an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the patterning device configured to impart the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate. In an embodiment, the lithographic apparatus is an EUV lithographic apparatus. The lithographic apparatus may include a source of radiation constructed to generate the radiation beam, which is an embodiment of an EUV radiation beam, and the source of radiation is constructed to generate EUV radiation.
LETTER DESCRIPTION OF THE DRAWINGS
Embodiments of the present invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:
Figure 1 schematically depicts a lithographic apparatus according to an embodiment of the present invention;
Figure 2 schematically depicts a side view of an EUV illumination system and projection optics or a lithographic projection apparatus according to an embodiment of Figure 1;
Figure 3 schematically depicts a processing scheme of the optical element;
Figure 4 schematically depicts an embodiment of a part of the lithographic apparatus;
Figures 5a and 5b schematically depict an embodiment of the method of the invention; and Figure 5c schematically clarifies Figures 5a and 5b.
DETAILED DESCRIPTION
Figure 1 schematically depicts a lithographic apparatus 1 according to an embodiment of the present invention. The apparatus 1 includes a source SO configured to generate radiation and an illumination system (illuminator) IL configured to condition a radiation beam B (e.g., UV radiation or EUV radiation) from the radiation received from source SO. The source SO may be provided as a separate unit and not a part of the lithographic apparatus. A support (e.g., a mask table) MT is configured to support a patterning device (e.g., a mask) MA and is connected to a first positioning device PM configured to accurately position the patterning device MA in accordance with certain parameters. A substrate table (e.g., a wafer table) WT is configured to hold a substrate (e.g., a resist-coated wafer) and is connected to a second positioning device PW configured to accurately position the substrate W in accordance with certain parameters. A projection system (eg a reflective projection mirror system) PS (also known as projection optics box POB) is configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (eg including one or more dies) of the substrate W.
The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination of, to direct, shape, or control radiation.
The support MT holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is a hero in a vacuum environment. The support MT can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support MT may be a frame or a table, for example, which may be fixed or movable as required. The support MT may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms "reticle" or "mask" may be considered synonymous with the more general term "patterning device."
The term "patterning device" used should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase shift, and attenuated phase shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
The term "projection system" used should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term "projection lens" may also be considered as synonymous with the more general term "projection system".
As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). Alternatively, the apparatus may be a transmissive type (e.g., employing a transmissive mask).
The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and / or two or more patterning device supports). In such "multiple stage" machines the additional tables and / or supports may be used in parallel, or preparatory steps may be carried out on one or more tables and / or supports while one or more other tables and / or supports are being used for exposure.
The lithographic apparatus may also be a type of at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. Liquid immersion may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term "immersion" as used does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located, for example, between the projection system and the substrate during exposure.
Referring to Figure 1, the illuminator IL receives radiation from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to be a part of the lithographic apparatus and the radiation is passed from the source SO to the illuminator 1L with the aid of a beam delivery system including, for example, suitable directing mirrors and / or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp.
The illuminator IL may include an adjusting device configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and / or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) or the intensity distribution in a pupil plane or the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
The radiation beam B is incident on the patterning device (e.g., mask) MA, which is hero on the support (e.g., mask table) MT, and is patterned by the patterning device. Having traversed the patterning device MA, the radiation beam B passes through the projection system PS, which projects the beam onto a target portion C or the substrate W. With the aid of the second positioning device PW and position sensor IF2 (eg an interferometric device linear encoder or capacitive sensor), the substrate table WT can be moved accurately, eg so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioning device PM and another position sensor IF1 (eg an interferometric device, linear encoder or capacitive sensor) can be used to accurately position the patterning device MA with respect to the path of the radiation beam B, eg after mechanical retrieval from a mask library, or during a scan. In general, movement of the patterning device support MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioning device PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioning device PW. In the case of a stepper, as opposed to a scanner, the patterning device support MT may be connected to a short-stroke actuator only, or may be fixed. Patterning device MA and substrate May be aligned using patterning device alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one that is provided on the patterning device MA, the patterning device alignment marks may be located between the dies.
The depicted apparatus could be used in at least one of the following modes:
In step mode, the patterning device support MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and / or Y direction so that a different target portion can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
In scan mode, the patterning device support MT and the substrate table WT are scanned synchronously while a pattern beamed to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the patterning device support MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) or the target portion in a single dynamic exposure, whereas the length of the scanning motion has the height (in the scanning direction) of the target portion.
In another mode, the patterning device support MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern is imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array or a type as referred to above, Combinations and / or variations on the above described modes of use or entirely different modes of use may also be employed.
The term "lens", where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
The terms "radiation" and "beam" are used for all types of electromagnetic radiation, including ultraviolet (UV) radiation (eg having a wavelength λ or 365,248,193,157 or 126 nm) and extreme ultra-violet (EUV or soft X-ray) radiation (eg having a wavelength in the range of 5-20 nm, eg 13.5 nm or 6.6 nm), as well as particle beams, such as ion beams or electron beams. Generally, having wavelengths between about 780-3000 nm (or larger) is considered IR radiation. UV refers to radiation with wavelengths of approximately 100-400 nm. Within lithography, it is usually also applied to the wavelengths which can be produced by a mercury discharge lamp: G-line 436 nm; H-line 405 nm; and / or I-line 365 nm. VUV is Vacuum UV (i.e. UV absorbed by air) and refers to wavelengths of approximately 100-200 nm. DUV is Deep UV, and is usually used in lithography for the wavelengths produced by excimer lasers like 126 nm -248 nm. The person skilled in the art understands that radiation having a wavelength in the range or, for example, 5-20 nm is related to radiation with a certain wavelength band, or which is at least part of the range of 5-20 nm.
Figure 2 shows the projection apparatus 1 in more detail, including a radiation system 42, an illumination system 44, and the projection system PS. The radiation system 42 includes the radiation source SO which may be a plasma source discharge. EUV radiation may be produced by a gas or vapor in the source, for example Xe gas, Li vapor or Sn vapor in which a very hot plasma has been created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma is created by causing an at least partially ionized plasma by, for example, an electrical discharge. Partial pressures of, for example, 10 Pa or Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In an embodiment, a Sn source as EUV source is applied. The radiation emitted by radiation source SO has passed from a source chamber 47 into a collector chamber 48 via an optional contaminant barrier 49 which is positioned in or behind an opening in source chamber 47. The contaminant barrier 49 may be a channel structure. Contaminant barrier 49 may contain a gas barrier or a combination of a gas barrier and a channel structure. The contaminant barrier 49 further indicated read at least comprises a channel structure.
The collector chamber 48 includes a radiation collector 50, which may also be formed by a grazing incidence collector. Radiation collector 50 has an upstream radiation collector side 50a and a downstream radiation collector side 50b. Radiation passed by collector 50 can be reflected off a grazing incidence mirror 51, for instance a grating spectral filter 51, to be focused in a virtual source point 52 at an aperture in the collector chamber 48. From collector chamber 48, a beam of radiation 56 is reflected in illumination system 44 via normal incidence reflectors 53, 54 onto a patterning device (eg, a reticle or mask support) MT (eg, a reticle or mask table). A patterned beam 57 is formed which is imaged in projection system PS via reflective elements 58, 59 onto substrate table WT. More elements than shown may generally be present in illumination system 44 and projection system PS. Grazing incidence mirror 51 may optionally be present, depending on the type or lithographic apparatus. Further, there may be more mirrors present than those shown in the Figures, for example there may be 1-4 more reflective elements present than elements 58, 59.
Instead of or in addition to a grazing incidence mirror as collector mirror 50, a normal incidence collector may be applied. Collector mirror 50, as described in an embodiment in more detail as a nested collector with reflectors 142,143, and 146, and as schematically depicted in, for example, Figure 2, is further used as an example of a collector (or collector mirror) ). Hence, where applicable, collector mirror 50 as a grazing incidence collector may also be interpreted as a collector in general and in a specific embodiment as a normal incidence collector.
Instead of or in addition to a grating spectral filter 51, as schematically depicted in Figure 2, a transmissive optical filter may be applied that is transmissive for EUV and less transmissive for equally substantial absorbing or UV radiation. In an embodiment, no filter 51 may be used at all. A "grating spectral filter" is further indicated as "spectral filter" which includes gratings or transmissive filters. Figure 2, but also included as an optional optical clement may be an EUV transmissive optical filter, for instance arranged upstream or collector mirror 50, or an optical EUV transmissive filter in illumination system 44 and / or projection system PS.
The optical elements shown in Figure 2 (and optical elements not shown in the schematic drawing of this embodiment) are vulnerable to deposition of contaminants (for instance, produced by source SO), for example, Sn. This is the case for the radiation collector 50 and, if present, the spectral filter 51. Hence, the cleaning method or an embodiment of the present invention may be applied to any of those optical elements, but also to any of the normal incidence reflectors 53, 54 and reflective elements 58, 59 or other optical elements, for example additional mirrors, gratings, etc. In an embodiment, the optical element is selected from the group consisting of collector mirror 50, radiation system 42, illumination system IL and projection system PS. In an embodiment, the element may also be a spectral filter 51. In an embodiment, the optical element is selected from the group consisting of one or more optical elements in radiation system 42 (like collector mirror 50 - be it a normal incidence collector or grazing incidence collector), spectral filter 51 (grating or transmissive filter), radiation system (optical) sensor (not depicted), one or more optical elements in illumination system 44 (like mirrors 53 and 54 or other mirror, if present, and / or an illumination system (optical) sensor (not depicted)), and / or one or more optical elements in the projection system PS (like mirrors 58 and 59 or other mirror, if present, and / or a projection system (optical) sensor (not depicted)). In an embodiment, the element may be a mask (for instance indicated in Figure 1 as a MA mask), in particular a reflective multilayer mask. Therefore, the term optical element refers to one or more elements selected from the group consisting of a grating spectral filter, a transmissive optical filter, a multi-layer mirror, a coating filter on a multi-layer mirror, a grazing incidence mirror, a normal incidence mirror (such as a multi-layer collector), a grazing incidence collector, a normal incidence collector, a (n) (optical) sensor (such as an EUV sensitive sensor), and a mask.
Further, not only an optical element may be contaminated by deposition, such as Sn or contaminated by other material, but also construction elements such as walls, holders, supporting systems, gas locks, a contaminant barrier 49, etc. This deposition may not be directly influence the optical properties of the optical elements, but due to re-deposition, this deposition may deposit (ie re-deposit) on optical elements, considering influencing the optical properties. Hence, even deposition not deposited on optical elements may in a later stage due to re-deposition lead to contamination of surfaces of optical elements. This may lead to a decrease in optical performance such as reflection, transmission, uniformity, etc.
In an embodiment (see also above), radiation collector 50 may be a grazing incidence collector. The collector 50 is aligned along an optical axis 0. The source SO or an image is located on an optical axis 0. The radiation collector 50 may include reflectors 142,143,146 (also known as a Wolter-type reflector including several Wolter-type reflectors). These reflectors 142, 143, 146 may be nested and rotational symmetrically about optical axis O. In Figure 2 (as well as in other Figures), an inner reflector is indicated by reference number 142, an intermediate reflector is indicated by reference number 143, and an outer reflector is indicated by reference number 146. The radiation collector 50 encloses at a certain volume, ie the volume within the outer reflector (s) 146. Usually, this volume within outer reflectors) 146 is peripherally closed, although small opening may be present. All the reflectors 142, 143 and 146 include surfaces of which at least includes a reflective layer or a number of reflective layers. Hence, reflectors 142,143 and 146 (more reflectors may be present and vary of radiation collectors 50 may have more than 3 reflectors), are at least partly designed for reflecting and collecting EUV radiation from source SO, and at least part of the reflector may not be designed to reflect and collect EUV radiation. For example, at least part of the back side of the reflectors may not be designed to reflect and collect EUV radiation. On the surface of these reflective layers, there may be in addition a cap layer for protection or an optical filter provided on at least part of the surface of the reflective layers.
The radiation collector 50 is usually placed in the vicinity of the source SO or an image of the source SO. Each reflector 142, 143, 146 may include at least two adjacent reflecting surfaces, the reflecting surfaces further from the source SO being placed at narrower angles to the optical axis More than the reflecting surface that is closer to the source SO. In this way, a grazing incidence collector 50 is configured to generate a beam or (E) UV radiation propagating along the optical axis 0. At least two reflectors may be placed substantially coaxially and extend substantially rotationally symmetrically about the optical axis 0. It should be appreciated that radiation collector 50 may have further features on the external surface of outer reflector 146 or further features around outer reflector 146, for example a protective holder, a heater, etc. Reference number 180 indicates a space between two reflectors, eg between reflectors 142 and 143.
During use, deposition may be found on one or more of the outer 146 and inner 142/143 reflector (s). The radiation collector 50 may be deteriorated by such deposition (deterioration by debris, e.g. ions, electrons, clusters, droplets, electrode corrosion from the source SO). Deposition of Sn, for example due to a Sn source, may, after a few mono-layers, detrimental to reflection of the radiation collector 50 or other optical elements, which may necessitate the cleaning of such optical elements. Deposition due to a source of radiation, such as a discharge produced plasma source, may provide an uneven distribution of Sn on the surface of the optical element, which deteriorates the optical properties of such optical element.
According to an embodiment of the invention, there is provided a method for the protection of an optical element or a lithographic apparatus 1, the optical element having a surface, the method including providing a deposition gas comprising SnH4 to the surface of the optical element to deposit a Sn cap layer on the surface of the optical element.
The term "layer" as used read, as understood by those of ordinary skill in the art, may describe layers having one or more boundary surfaces with other layers and / or with other media such as vacuum in use. However, it should be understood that "layer" may also be a part of a structure. The term "layer" may also indicate a number of layers. These layers can be, for example, next to each other or on top of each other, etc. They may also include one material or a combination of materials. It should also be noted that the term "layers" used may particularly describe continuous layers; discontinuous layers are, for instance, cap layers that are damaged during processing. The term "deposition" refers to material that is chemically or physically attached to a surface (e.g., the surface of an optical element), as known to those or ordinary skill in the art.
Figure 3 schematically depicts an embodiment of the method of the invention including its (optional) processes. As mentioned above, the method may be a device manufacturing method using the lithographic apparatus 1. The optical element 100 may, in an embodiment, have a top layer 101, which maybe, for example, a multi-layer, like a Mo-Si stack, or which maybe a Ru top layer. Alternatively, it may be a protective layer, such as an S13N4 layer. The surface of the optical element 100 is indicated with reference 150. This precapping stage is indicated with reference (1). The optical element 100 is provided (in the lithographic apparatus) and is capped with a cap layer 102. To this end, a deposition gas 115 is introduced in the lithographic apparatus 1 and the surface 150 of the optical element 100 is exposed to this deposition gas 115. The deposition gas 115 comprises SnH4. The deposition gas 115 may, in an embodiment, consistent or one or more noble gases and SnH4. SnRt is indicated with reference number 110. This process is indicated with reference (a). The surface of the cap layer 102 is indicated with reference 151. H2 that is formed in this process and other gases may be exhausted from the lithographic apparatus. The Sn cap layer may contain at least 95 wt.% Sn, or at least 98 wt.% Sn, desirably before use of the lithographic apparatus (see below). Other elements present in the cap layer may be, for instance, O, C and Si. In this way, deliberately a Sn cap layer 102 is provided on the surface 150 or the optical element. The cap layer 102 may have a mean layer thickness in the range of 0.05 -1.5 nm, or or about 0.1 - 0.9 nm. A lower layer thickness of the cap layer 102 may include the risk of a non-uniform layer, ie a layer with a hole in it, having the optical element 100 with bare surface (ie surface 150) regions within the cap layer 102 , and a higher layer thickness of the cap layer 102 may lead to a less desired loss of radiation during use of the lithographic process to make devices. The (mean) thickness of the cap layer may be monitored by, for instance, reflectivity measurement (for a reflective optical element) or transparency (for a transmissive optical element) or other means known to the person skilled in the art, such as Raman spectroscopy, ellipsometry, or reflectometry. The capped optical element 100 after the deposition process (a) is now in stage (II) and is ready for use as an optical element 100 in lithographic processing.
In an embodiment, the lithographic apparatus comprises a source of radiation SO constructed to generate EUV radiation from the source of radiation SO is a Sn plasma source.
During lithographic processing, the cap layer 102 may damage. For instance, debris from the source SO, such as Sn ions, particles or agglomerates may impinge on the cap layer 102 and may lead to a cap layer 102 which is not smooth but which has defects (ie a non-uniform cap layer 102) . Ion etching may cause damage to the cap layer 102, which may be repaired because it may only remove part of the cap layer 102. Lithographic processing is schematically indicated with reference (b). After lithographic processing, also simply indicated as "after use" or "after use of the lithographic apparatus", the optical element 100 is in stage (III). The damaged cap layer 102 is clearly shown in Figure 3. Schematically, debris is indicated with reference 120.
Having reached stage (III), the optical element 100 has a cap layer 102 with so many deficiencies that optimal lithographic processing may be impacted or not be possible anymore, the operator may choose two main routes, indicated as (c) or (d) "). The route (c) can be indicated as a repair process, arriving at stage (IV); route (d ') is chosen to remove the damaged cap layer 102 and after arriving at stage (V), the cap layer 102 is at least partially removed, the process can be continued by providing a fresh cap layer 102 via route (a' ) · The routes (c) and (d ') are described below.
In an embodiment, the method further comprises a repair process (route (c)). This process may be applied after some running time of the lithographic apparatus, i.e. after using the lithographic apparatus some time for manufacturing devices. The process may be a partial or complete repair of the damaged cap layer 102.
In an embodiment, the method further comprises using the lithographic apparatus 1 and subsequently exposing at least part of the cap layer 102 to a repair gas 125 containing hydrogen radicals 130. Due to the presence of hydrogen radicals 130, the Sn from the Sn cap layer 102 can be redistributed, at least partially repairing the damaged cap layer 102. SnH4110, which is formed by the exposure of the cap layer 102 with the repair gas 125 containing hydrogen radicals 130, desirably forms Sn deposition at bare pieces of the optical element 100 with damaged cap layer 102. Due to this redistribution, a new or renewed cap layer 102 is formed. In an embodiment, the damaged cap layer 102 is exposed to the repair gas 125 until a mean layer thickness d or 0.05 -1 nm or 0.05 - 0.8 nm is obtained. In this way, the damaged cap layer 102 or stage (III) is repaired via this process (c) and stage (IV) is reached, while the cap layer 102 is at least partially repaired. The repair gas 125 may, in an embodiment, consistent or one or more noble gases and hydrogen radicals. The H radical containing repair gas may typically comprise 0.0001 - 5% of H radicals, the rest being noble gas and H2. A methods to generate hydrogen radicals 130 and sources (see also below) are for instance described in United States patent application publication no. US 2006/0072084 and European patent application publication no. EP 1643310, which are included in their entirety by reference .
In an embodiment, the method further comprises using the lithographic apparatus and subsequent exposing at least part of the cap layer 102 to the repair gas 125, the repair gas comprises SnH4. In this way, irregularities or even regions within the cap layer, may be filled with Sn, which is formed by decomposition or SnH4 on the (damaged) cap layer. Also in this way, the damaged cap layer 102 or stage (III) is repaired via this process (c) and stage (IV) is reached, while the cap layer 102 is at least partially repaired. The repair gas 125 may thus, in an embodiment, consist of one or more noble gases and SnH4, and may have the same composition as the deposition gas 115 described above. As mentioned above, the damaged cap layer may be exposed to the repair gas 125 including SnH4 until the cap layer has (again) a mean layer thickness d in the range or 0.05 -1.5 nm.
The embodiment of using H radicals and / or SnH4 are schematically depicted in Figure 3 (see right and left from arrow (c), respectively).
Therefore, an embodiment of the invention provides a method including: a. A deposition process (a) including providing a deposition gas including SnH4 to the surface of the optical element to deposit a Sn cap layer on the surface of the optical element; b. use of the lithographic apparatus in a device manufacturing process (b); c. optionally a repair process (c), at least part of the cap layer after use of the lithographic apparatus is exposed to a repair gas containing hydrogen radicals and / or SnH4. Processes (b) and (c) may be repeated a variety of times, i.e. after or during use the repair process (c) may be performed, and processing may be started again or continued, respectively. Since a laser produced plasma (LPP) EUV source mainly produces ionic debris, this method may be useful when the repair gas comprises SnH4. For a lithographic apparatus including an LPP source, one may need no longer need the cleaning process (s) and (re) deposition process (a ') (discussed below) because one can keep on repeating to repair the layer, possibly even during operation of the lithographic apparatus.
Thus, lithographic processing may be continued for some time. The sequence of processing (b) and repairing (c) may be continued until the quality of the repaired cap layer 102 is considered or is expected to be or such quality that is optimal lithographic processing may not be possible anymore. Hence, after stage (III) or after stage (IV), a more thorough cleaning may have been applied, which are indicated as processes (d ') and (d), respectively. Hence, in an embodiment, the (damaged) cap layer 102 is substantially removed (stage (V)) and a "fresh" cap layer 102 is deposited on the surface 150 or the optical element 100 (ie process (a), as described above). Therefore, in an embodiment, the method further comprises using the lithographic apparatus 1 and subsequently exposing at least part of the cap layer 102 to a cleaning gas 145, removing at least part of the Sn cap layer 102 by the cleaning gas 145, and providing the deposition gas 115 including SnH4 to the surface 150 to deposit a fresh Sn cap layer 102 on the surface 150 of the optical element 100.
The cleaning gas 145 may include one or more halogens 140, i.e. a gas comprising one or more halogens 140 selected from the group consisting of F2, Cl2, Br2 and I2 (schematically indicated in the figure as "X"). Such a gas 140 may substantially remove the complete cap layer 102. Hence, in an embodiment substantially the complete Sn cap layer 102 is removed by the cleaning gas 145. In an embodiment, the cleaning gas 145 comprises 12.
In a embodiment, the method comprises: a. A deposition process (a) including providing a deposition gas 115 comprising SnH4 to the surface 150 of the optical element 100 to deposit a Sn cap layer 102 on the surface 150 of the optical element 100; b. use of the lithographic apparatus 1 in a device manufacturing process (b); c. optionally a repair process (c), at least part of the cap layer 102 after use of the lithographic apparatus 1 is exposed to a repair gas 125 containing hydrogen radicals and / or SnH4; d. a cleaning process (d), including exposing at least part of the cap layer 102 to a cleaning gas 145, removing at least part of the Sn cap layer 102 by the cleaning gas 145; and e. a deposition process (a ') according to process (a).
Processes (b) and (c) may be repeated several times or times before performing processes (d) and (a ') (see also Figure 3). This embodiment of the method may be useful for a lithographic apparatus equipped with a discharge produced plasma source, since such sources may have a more detrimental impact on the cap layer 102 than a LPP source. However, this embodiment of the method may also be applied for a lithographic apparatus using an LPP source.
Note that the cleaning process (d) and the deposition process (a ') according to process (a), respectively, may be performed while using the lithographic apparatus in a device manufacturing method. However, as will be clear to the person skilled in the art, the deposition process (a ') (according to process (a)) to provide a fresh cap layer 102 will in general not be commenced before the Sn cap layer 102 has substantial been removed.
The process (a ') is indicated as (a') in order to distinguish from the deposition process (a). The deposition process (a ') is also indicated as a re-deposition process. The method comprises providing a Sn cap layer 102 on an optical element by providing SnH4 to the optical element, providing the cap layer 102. The cleaning (sub) process (s) and (re) deposition process (a ') are optional. However, as mentioned above, when the cap layer 102 is deteriorated, these processes may be performed.
The optical element 100 may be any optical element. In an embodiment, the optical element 100 is a collector mirror, such as schematically depicted in Figure 2 and indicated with reference number 50, and the surface 150 is a reflective surface of the collector mirror.
In principle, an embodiment of the method may be partially applied outside the lithographic apparatus 1. For instance, the cap layer 102 may be generated by process (a) ex situ from the lithographic apparatus 1, the cap layer 102 may be repaired by process (c) / (d ') ex situ from the lithographic apparatus 1 and the cap layer 102 may be removed by process (d) ex situ from the lithographic apparatus 1. However, in an embodiment, the process (a) of providing deposition gas comprising SnH4 (110) to the surface 150 of the optical element 100 to deposit the Sn cap layer 102 on the surface 150 of the optical element 102 is an in situ lithographic apparatus process. In an embodiment, the process (c) or exposing at least part of the cap layer 102 to the repair gas 125 is an in situ lithographic apparatus process. In an embodiment, the process (d) or exposing at least part of the cap layer 102 to the cleaning gas 145, removing at least part of the Sn cap layer 102 by the cleaning gas 145, and optionally also the process (a ') or further providing the deposition gas including SnH4110 to the surface 150 to deposit to a fresh Sncap layer 102 to the surface 150 or the optical element 102 is an in situ lithographic apparatus process.
Note that repairing may also be performed during operation of the lithographic apparatus, i.e., the repair process (c) may be applied during or after lithographic processing (b), i.e., during or after the device manufacturing process (see also above).
As described above, in an aspect the invention, there is provided a device manufacturing method using a lithographic apparatus 1, such as schematically described said as lithographic apparatus 1, in, in an embodiment, the lithographic apparatus 1 comprising optical element 100 having surface 150 with the Sn cap layer 102. The optical element 100 having the surface 150 with the Sn cap layer 102 is in an embodiment provided by providing a deposition gas 115 containing SnH4 (indicated with reference number 110) to the surface 150 to deposit the Sn cap layer 102 on the surface 150 or the optical element 100 in situ in the lithographic apparatus 1.
Referring to Figure 4, an embodiment or part of the lithographic apparatus 1 is shown schematically, with a number of gas sources. The lithographic apparatus 1 comprises the optical element 100, the optical element 100 having surface 150, and further comprising a gas source 410 to supply a gas 110 including SnH4 and to a direct flow of the gas 110 to the surface 150 of the of optical element 100. The lithographic apparatus 1 may also include a cleaning gas source 445 to supply a cleaning gas 145 including a halogen and to a direct flow of cleaning gas 445 to the Sn cap layer 102 (not shown in Figure 5) on the surface 150 of the optical element 100 (in this case, the collector mirror 50 with reflectors 142,143 and 146). The apparatus 1 (or which, by way of example, the radiation system 42 is shown) may include a gas source 200 configured to supply a gas 130 containing hydrogen radicals and may optionally include a Sn substrate 300. The Sn substrate 300 and the source 200 may be arranged to provide a flow of SnH4110 in the direction of the surface 150 of the optical element 100. The hydrogen radicals (130) may react with the Sn substrate 300 to form SnFU 110. In the absence of the substrate 300, the gas 130 comprising hydrogen radicals can be used as repair gas 125; in the presence of the substrate 300, the gas 130 including hydrogen radicals in combination with the Sn substrate 300 may be used to provide a flow of repair gas 125 including SnH4 or alternatively, when the cap layer 102 has been removed, may be used to provide a flow of deposition gas 115. In the latter edition, ie the gas 130 containing hydrogen radicals in combination with the Sn substrate 300 may be used to provide a flow of deposition gas 115, this combination can be used as the gas source 410 for the gas 110 including SnH4 arranged. The gas source 410 may be used to provide the deposition gas 115 in process (a) and / or the repair gas 125 in process (c). Further, the lithographic apparatus 1 may include an exhaust 460 configured to remove gases and / or to facilitate the formation of gas flows, such as mentioned above.
Figures 5a and 5b schematically depict how the source 200 can be used to provide not only the repair gas 125 including hydrogen radicals (Figure 5b), but also the repair gas 125 including SnH4 when applied in combination with the Sn substrate 300 (Figure 5a) . As described above, the latter number is substantially equal to the deposition gas 115. Hence, a source 200 of the gas 130 including hydrogen radicals in combination with a noble gas, such as Ar, and hydrogen may be applied as repair gas 125 or as deposition gas 115. The hydrogen radicals 130 react with the Sn substrate 300. The Sn substrate 300 can be wire, a mesh, or any object with an Sn surface. The substrate 300 may optionally be heated or be irradiated or be heated and be irradiated in order to improve SnH4 formation. SnH4, indicated as 110, may then provide the cap layer 102 to the surface 150 or optical element 100,
Figure 5b shows schematically how this principle can be used to redistribute Sn on the surface 150 of the optical element 100, for instance after use of the lithographic apparatus 1. Figure 5b shows cap layer 102 non-uniformly distributed over the surface 150 of the optical element 100. Gas 130 containing hydrogen radicals is generated by the hydrogen radical source 200. The hydrogen radicals react at a surface 151 or the cap layer 102 to form SnFL * 110, which may then be used as repair gas 125. The repair gas 125 re-deposits Sn on the bare surface 150 of the optical element 100 to provide a substantially uniform cap layer 102 on the optical element 100, for instance with the above described mean layer thickness or about 0.05 -1 nm. Figure 5b therefore schematically depicts an embodiment of process (c). By redistribution of the Sn in the cap layer 102 over the surface 150 on the optical element 100, the damaged cap layer 102 after processing will be made more uniform, as schematically depicted in Figure 3 (stage (IV)). In this edition, Sn on the optical element as cap layer 102 (or as deposition) acts at least partially as a Sn substrate.
Hence, a solution proposed here is to use a dynamic cap layer 102 or Sn. The Sn layer 102 is deposited using SnH4 (110), and may be removed using a halogen cleaning (process (d)). Furthermore, if the protective Sn cap layer 102 has been partly sputtered away or otherwise deteriorated (during processing, process (b)), it may be restored by intermediate exposing the optical element 100 to SnH4 again (ie an embodiment of the repair process ( c)). This is possible because SnH4 particularly decomposes on the surface 150, when this surface is 150, for example, a Ru surface, leading to a restoration of the Sn cap layer 102 in the bare parts of the cap layer 102. The EUV optics within EUV lithography system are often under the influence of ions and source-generated debris, especially if the EUV optics are located near the EUV source (eg an EUV collector). Typically, the EUV source uses Sn as fuel, and therefore the debris will comprise Sn. Ions can either be generated by the source, or they can be generated in a secondary EUV induced plasma. These ions may damage an EUV mirror by ion sputtering. Furthermore, source-generated debris may also deposit on the EUV optic, resulting in an EUV absorbing coating, which can be difficult to remove. A complicating effect may be that there are typically both sputter-dominated and deposition-dominated regions inside the EUV collector. Protect, the protective coating protects against both ion sputtering and deposition, which is the case with an embodiment of the cap layer 102 described.
As mentioned above, if the EUV source substantially only induces ion sputtering damage to the Sn cap layer 102 (thus no deposition of particles), one may only need to use the repair process (c) and the cleaning process (d) followed by process (a ') may be skipped. In this case, the repair process is done using SnH4 as repair gas to repair the Sn cap layer 102, since not enough Sn material may be available to do a "re-distributing repair process". This is relevant for an LPP EUV source, which mainly produces ionic debris.
Experiment
In order to find how much SnH4 re-deposits on a Ru surface, hydrogen radicals were directed at a Ru surface surrounded by Sn-on-Si samples (see Figure 5c, a schematic top view), the Ru surface is indicated as bare surface 150, and the Sn-on Si samples are indicated as substrate 300. The table below shows the Sn coverage of the samples before and after this treatment as measured by XRF analysis:
From this table it can be seen that all Sn has been removed from the Sn-on-Si sample, whereas the amount or Sn on the Ru surface has increased. This demonstrates that SnH4 particularly dissociates on a Ru surface. Furthermore, this demonstrates that Sn can indeed be moved from a
Sn-coated part-to-bare Ru surface, indicating the smoothing or redistribution effect as described above may indeed occur.
Also, roughly 10% of the Sn removed from Sn samples was re-deposited on the Ru surface. Further, the principle of re-deposition works well on Ru surfaces. The remainder has been pumped away as gaseous SnH4.
An embodiment of the invention thus provides a method for the protection of an optical element or a lithographic apparatus. A deposition gas comprising SnH4 is provided to the surface of the optical element to deposit a Sn cap layer to the surface of the optical element. In this way, a Sn cap layer is deliberately provided on the optical element, which may protect the optical element during lithographic processing from a (Sn) plasma source. During or after lithographic processing, the (deteriorated) cap layer may be repaired by providing a hydrogen radical containing gas and / or a SnH4 containing gas. Additionally or alternatively, the (deteriorated) cap layer may be removed and a new ("fresh") cap layer provided by providing the deposition gas including SnH4.
Although specific reference may be made in this text to use the lithographic apparatus in the manufacture of ICs, it should be appreciated that the lithographic apparatus described may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat panel displays, flat panel displays including liquid-crystal displays (LCDs), thin-film magnetic heads, etc. It should be appreciated in the context of such alternative applications, any use of the terms "wafer "or" die "Read may be considered as synonymous with the more general terms" substrate "or" target portion ", respectively. The substrate referred to may be processed, before or after exposure, in for example a track (a tool that typically applies to a layer of resist to a substrate and develops the exposed resist), a metrology tool and / or an inspection tool. Where applicable, the disclosure may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so the term substrate used may also refer to a substrate that already contains multiple processed layers. Although specific reference may have been made above to the use of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device the pattern created on a substrate. The topography of the patterning device may be pressed into a layer or resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
While specific expired of the present invention have been described above, it should be appreciated that the present invention may be practiced otherwise than as described. For example, the present invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (eg semiconductor memory, magnetic or optical disk) having such a computer program stored therein. This computer program may be used to control the removal of the deposition, control the pressures, etc.
The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the present invention as described without departing from the scope of the claims set out below. Use of the verb "to include" and its conjugations does not exclude the presence of elements or steps other than those stated in a claim. The article "a" or "an" preceding an element does not exclude the presence of a variety of such elements.
The present invention is not limited to application of the lithographic apparatus or use in the lithographic apparatus as described in the edition. Further, the drawings usually only include the elements and features that are necessary to understand the present invention. Beyond that, the drawings of the lithographic apparatus are schematic and not on scale. The present invention is not limited to those elements, shown in the schematic drawings (e.g., the number of mirrors drawn in the schematic drawings). Further, the present invention is not confined to the lithographic apparatus described in relation to Figures 1. The present invention described with respect to a radiation collector may also be employed for (other) multilayer, grazing incidence mirrors or other optical elements. It should be appreciated that described above may be combined above.
Other aspects of the invention are set out as in the following numbered clauses: 1. A method for the protection of an optical element or a lithographic apparatus, the optical element having a surface, the method including providing a deposition gas including SnH4 to the surface of the optical element to deposit a Sn cap layer on the surface of the optical element. 2. The method of clause 1, in which the lithographic apparatus comprises a source of radiation constructed to generate EUV radiation, and in which the source of radiation is a Sn plasma source. 3. The method of clause 1, where the cap layer has a mean layer thickness in the range or 0.05 -1.5 nm. 4. The method of clause 1, further including using the lithographic apparatus and subsequent exposing at least part of the cap layer to repair gas containing hydrogen radicals. 5. The method of clause 4, where the Sn cap layer is exposed to the repair gas until the cap layer has a mean layer thickness selected from the range or 0.05 -1 nm. 6. The method of clause 1, further including using the lithographic apparatus and subsequent exposing at least part of the cap layer to a gas containing SnH4 repair. 7. The method of clause 6, where the Sn cap layer is exposed to the repair gas until the cap layer has a mean layer thickness selected from the range or 0.05 -1.5 nm. 8. The method of clause 1, further comprising using the lithographic apparatus and following exposing at least part of the cap layer to a cleaning gas, removing at least part of the Sn cap layer using the cleaning gas, and providing the deposition gas including SnH4 to the surface to deposit a fresh Sn cap layer to the surface of the optical element. 9. The method of clause 8, substantially the complete Sn cap layer is removed by the cleaning gas and the cleaning gas comprises a halogen. 10. The method of clause 1, in which the Sn cap layer comprises at least 95 wt.% Sn. 11. The method of clause 1, the optical element is a collector mirror and the surface is a reflective surface or the collector mirror. 12. The method of clause 1, providing the deposition gas including SnH4 to the surface of the optical element to deposit the Sn cap layer on the surface of the optical element is an in situ lithographic apparatus process. 13. The method of clause 1, including a. Use of the lithographic apparatus in a device manufacturing process (a); b. a repair process (b), at least part of the cap layer after use of the lithographic apparatus is exposed to a repair gas comprising hydrogen radicals or SnH4; continue processes (a) and (b) are repeated a multiple of times. 14. The method of clause 1, including a. Use of the lithographic apparatus in a device manufacturing process (a); b. a repair process (b), at least part of the cap layer after use of the lithographic apparatus is exposed to a repair gas comprising hydrogen radicals or SnH4; c. a cleaning process (c), including exposing at least part of the cap layer to a cleaning gas, removing at least part of the cap cap by the cleaning gas; and d. after cleaning process (c), a deposition process (d) including providing a deposition gas including SnH4 to the surface of the optical element to deposit a fresh Sn cap layer to the surface of the optical element; where processes (a) and (b) are repeated several times or times before performing processes (c) and (d). 15. A lithographic apparatus containing an optical element, the optical element having a surface, a gas source configured to supply a gas including SnH4 and to a direct flow of the gas to the surface of the optical element and a cleaning gas source configured to supply a cleaning gas comprising a halogen and to a flow of cleaning gas directly to a Sn cap layer on the surface of the optical element. 16. The lithographic apparatus of clause 15, in which the Sn cap layer is a dynamic cap layer. 17. A device manufacturing method using a lithographic apparatus, the lithographic apparatus comprising an optical element having a surface with a Sn cap layer. 18. The device manufacturing method of clause 17, further including using the lithographic apparatus and subsequent exposing at least part of the cap layer to a repair gas containing hydrogen radicals. 19. The device manufacturing method of clause 17, further including using the lithographic apparatus and subsequent exposing at least part of the cap layer to a gas containing SnH4 repair. 20. The device manufacturing method of clause 17, further including using the lithographic apparatus and subsequent exposing at least part of the cap layer to a cleaning gas, removing at least part of the Sn cap layer using the cleaning gas, and providing a deposition gas including SnH4 on the surface to deposit a fresh Sn cap layer on the surface of the optical element. 21. The device manufacturing method of clause 17, the Sn cap layer is provided by providing a deposition gas comprising SnH4 to the surface to deposit the Sn cap layer on the surface of the optical element in situ in the lithographic apparatus. 22. The device manufacturing method or clause 17, the optical element is a collector mirror and the surface is a reflective surface or the collector mirror.
权利要求:
Claims (2)
[1]
A method of protecting an optical element of a lithographic apparatus, wherein the optical element has a surface, the method comprising providing a SnH4-containing deposition gas on the surface of the optical element around an Sn top layer on the surface of the optical element.
[2]
A lithographic apparatus comprising an optical element, wherein the optical element has a surface, a gas source formed to supply a gas comprising SnH4 and to direct a flow of gas to the surface of the optical element and a cleaning gas source formed to to supply a cleaning gas comprising a halogen and to direct a stream of cleaning gas to a Sn top layer on the surface of the optical element.
类似技术:
公开号 | 公开日 | 专利标题
US7355672B2|2008-04-08|Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7473908B2|2009-01-06|Getter and cleaning arrangement for a lithographic apparatus and method for cleaning a surface
US7372058B2|2008-05-13|Ex-situ removal of deposition on an optical element
US7518128B2|2009-04-14|Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned
US7750326B2|2010-07-06|Lithographic apparatus and cleaning method therefor
US7332731B2|2008-02-19|Radiation system and lithographic apparatus
US7561247B2|2009-07-14|Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7495239B2|2009-02-24|Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
JP5377627B2|2013-12-25|Lithographic apparatus, method of processing a sensing surface of an internal sensor, and device manufacturing method
US8446560B2|2013-05-21|Lithographic apparatus comprising a magnet, method for the protection of a magnet in a lithographic apparatus and device manufacturing method
JP4814922B2|2011-11-16|Method for protecting optical element of lithographic apparatus, lithographic apparatus, and device manufacturing method
同族专利:
公开号 | 公开日
US20090074962A1|2009-03-19|
JP4814922B2|2011-11-16|
JP2009071312A|2009-04-02|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题

JPH0647734B2|1986-02-27|1994-06-22|キヤノン株式会社|Deposited film formation method|
US5284804A|1991-12-31|1994-02-08|Texas Instruments Incorporated|Global planarization process|
US6064942A|1997-05-30|2000-05-16|Rockwell Collins, Inc.|Enhanced precision forward observation system and method|
US6169770B1|1998-01-08|2001-01-02|Rockwell Collins, Inc.|Preemptive processor for mode S squitter message reception|
US6690299B1|1998-01-12|2004-02-10|Rockwell Collins, Inc.|Primary flight display with tactical 3-D display including three view slices|
US6178391B1|1998-04-15|2001-01-23|Rockwell Collins, Inc.|Method for partitioning an integrated ground air traffic management communications system|
US6154151A|1998-06-16|2000-11-28|Rockwell Collins, Inc.|Integrated vertical situation display for aircraft|
US6317468B1|1998-06-17|2001-11-13|Rockwell Collins|IF exciter for radio transmitter|
US6128553A|1998-07-24|2000-10-03|Rockwell Collins, Inc.|Menu control knob|
US6150901A|1998-11-20|2000-11-21|Rockwell Collins, Inc.|Programmable RF/IF bandpass filter utilizing MEM devices|
US6163021A|1998-12-15|2000-12-19|Rockwell Collins, Inc.|Navigation system for spinning projectiles|
US6166661A|1999-01-22|2000-12-26|Rockwell Collins, Inc.|System for detecting ice on an aircraft|
US6345127B1|1999-02-26|2002-02-05|Rockwell Collins, Inc.|Video data compression preprocessor using holographic optical correlation and feedback|
US6194980B1|1999-05-19|2001-02-27|Rockwell Collins, Inc.|Quadrature hybrid RF combining system|
US6266114B1|1999-07-14|2001-07-24|Rockwell Collins, Inc.|Method and apparatus for compensating a liquid crystal display|
US6201494B1|1999-07-30|2001-03-13|Rockwell Collins, Inc.|Automatic storm finding weather radar|
US6281832B1|1999-08-31|2001-08-28|Rockwell Collins|Method and apparatus for using statistical data processing in altimeter and terrain awareness integrity monitoring systems|
US6501424B1|2000-01-28|2002-12-31|Rockwell Collins|Use of GPS correction data on trans-oceanic routes|
US6285298B1|2000-02-24|2001-09-04|Rockwell Collins|Safety critical system with a common sensor detector|
US6259400B1|2000-03-15|2001-07-10|Rockwell Collins, Inc.|Fast acquisition of GPS signal corrupted by doppler or time delay effects|
US6285926B1|2000-04-28|2001-09-04|Rockwell Collins|Selected hover altitude deviation display and method|
US6377892B1|2000-05-02|2002-04-23|Rockwell Collins, Inc.|Integrated navigation system|
US6441773B1|2000-08-24|2002-08-27|Rockwell Collins, Inc.|Weather radar system integrating ground-based weather radar with on-board aircraft weather radar|
US6448922B1|2000-08-24|2002-09-10|Rockwell Collins, Inc.|Retrofit solution for the integration of ground-based weather radar images with on-board weather radar|
US6771626B1|2000-08-29|2004-08-03|Rockwell Collins, Inc.|Data communication techniques for real time data transmission|
US6285337B1|2000-09-05|2001-09-04|Rockwell Collins|Ferroelectric based method and system for electronically steering an antenna|
US6473240B1|2000-09-19|2002-10-29|Rockwell Collins|Apparatus and method for using orthogonally polarized light in projection cockpit displays|
US6388608B1|2000-09-22|2002-05-14|Rockwell Collins, Inc.|Method and system for detecting turbulence with reduced errors resulting from vertical shear components|
US6424288B1|2000-09-22|2002-07-23|Rockwell Collins, Inc.|Multi-sweep method and system for detecting and displaying weather information on a weather radar system|
US6603425B1|2000-09-22|2003-08-05|Rockwell Collins, Inc.|Method and system for suppressing ground clutter returns on an airborne weather radar|
US6388607B1|2000-09-22|2002-05-14|Rockwell Collins, Inc.|Multi-sweep method and system for mapping terrain with a weather radar system|
US6918134B1|2000-09-27|2005-07-12|Rockwell Collins|Data request method without using dedicated connections|
US6456236B1|2001-04-24|2002-09-24|Rockwell Collins, Inc.|Ferroelectric/paraelectric/composite material loaded phased array network|
US6531669B1|2001-05-02|2003-03-11|Rockwell Collins, Inc.|Method and system for illuminating a mechanical rotary push-button switch|
US6426717B1|2001-05-11|2002-07-30|Rockwell Collins, Inc.|Single antenna FM radio altimeter operating in a continuous wave mode and an interrupted continuous wave mode|
US6714186B1|2001-05-18|2004-03-30|Rockwell Collins, Inc.|Avionic display with tunable filter|
US6574030B1|2001-05-18|2003-06-03|Rockwell Collins, Inc.|Multi-mode display using an electronically controlled mirror|
US6549161B1|2001-06-21|2003-04-15|Rockwell Collins, Inc.|Multiple altitude radar system|
US6512476B1|2001-06-21|2003-01-28|Rockwell Collins, Inc.|Adaptive radar scanning system|
US6492934B1|2001-08-06|2002-12-10|Rockwell Collins|Method of deriving ground speed for descending aircraft|
US6567728B1|2001-08-08|2003-05-20|Rockwell Collins, Inc.|Terrain awareness system having nuisance alarm filter for use during approach|
US6525674B1|2001-08-08|2003-02-25|Rockwell Collins, Inc.|Conditional hazard alerting display|
US6452511B1|2001-08-08|2002-09-17|Rockwell Collins, Inc.|Method and system for providing ground proximity warnings|
US6650275B1|2001-09-17|2003-11-18|Rockwell Collins, Inc.|Image processing for hazard recognition in on-board weather radar|
US6690298B1|2002-01-23|2004-02-10|Rockwell Collins, Inc.|Enhanced vertical terrain profile display|
US6577947B1|2002-03-01|2003-06-10|Rockwell Collins, Inc.|Method and apparatus for identification of hazards along an intended travel route|
US6744382B1|2002-04-19|2004-06-01|Rockwell Collins|Method and apparatus for guiding an aircraft through a cluster of hazardous areas|
US7002546B1|2002-05-15|2006-02-21|Rockwell Collins, Inc.|Luminance and chromaticity control of an LCD backlight|
US7089092B1|2002-07-18|2006-08-08|Rockwell Collins, Inc.|Airborne system and method for improving the integrity of electronic landing aids|
US6822617B1|2002-10-18|2004-11-23|Rockwell Collins|Construction approach for an EMXT-based phased array antenna|
US6950062B1|2002-10-18|2005-09-27|Rockwell Collins|Method and structure for phased array antenna interconnect using an array of substrate slats|
US6741203B1|2002-10-28|2004-05-25|Rockwell Collins|Adaptive radar thresholds system and method|
US6804614B1|2002-12-03|2004-10-12|Rockwell Collins|Synthetic pressure altitude determining system and method|
US6819983B1|2002-12-03|2004-11-16|Rockwell Collins|Synthetic pressure altitude determining system and method with wind correction|
US6757624B1|2002-12-03|2004-06-29|Rockwell Collins|Synthetic pressure altitude determining system and method of integrity monitoring from a pressure sensor|
US7092645B1|2002-12-13|2006-08-15|Rockwell Collins, Inc.|Electro optical microwave communications system|
US6806846B1|2003-01-30|2004-10-19|Rockwell Collins|Frequency agile material-based reflectarray antenna|
US6744408B1|2003-03-04|2004-06-01|Rockwell Collins|Enhancements for GPS based bi-static radar|
US6741208B1|2003-05-06|2004-05-25|Rockwell Collins|Dual-mode switched aperture/weather radar antenna array feed|
US6972727B1|2003-06-10|2005-12-06|Rockwell Collins|One-dimensional and two-dimensional electronically scanned slotted waveguide antennas using tunable band gap surfaces|
US6998908B1|2003-06-10|2006-02-14|Rockwell Collins, Inc.|Adaptive interference cancellation receiving system using synthesizer phase accumulation|
US6839017B1|2003-07-30|2005-01-04|Rockwell Collins|Method and apparatus for using anti-jam technology to determine the location of an electromagnetic radiation source|
US7129885B1|2003-07-31|2006-10-31|Rockwell Collins|Adaptive weather radar detection system and method used in continental and maritime environments|
US6850185B1|2003-07-31|2005-02-01|Rockwell Collins|Runway obstacle detection system and method|
US6999022B1|2003-09-02|2006-02-14|Rockwell Collins|Surveillance system|
US6882302B1|2003-09-22|2005-04-19|Rockwell Collins|Enhanced adaptive weather thresholds for identification of hazards system and method|
US7109912B1|2004-05-04|2006-09-19|Rockwell Collins, Inc.|Weather radar hazard detection system and method|
US7109913B1|2004-05-04|2006-09-19|Rockwell Collins, Inc.|Airborne weather radar system and radar display|
US7196329B1|2004-06-17|2007-03-27|Rockwell Collins, Inc.|Head-down enhanced vision system|
US6879280B1|2004-06-28|2005-04-12|Rockwell Collins, Inc.|Vertical weather profile display system and method|
US7034753B1|2004-07-01|2006-04-25|Rockwell Collins, Inc.|Multi-band wide-angle scan phased array antenna with novel grating lobe suppression|
US7272472B1|2004-07-15|2007-09-18|Rockwell Collins, Inc.|System and method for improving aircraft formation flying accuracy and integrity|
US6995726B1|2004-07-15|2006-02-07|Rockwell Collins|Split waveguide phased array antenna with integrated bias assembly|
US7148816B1|2004-08-30|2006-12-12|Rockwell Collins, Inc.|Aircraft traffic source selection and display system and method|
US7219011B1|2004-09-09|2007-05-15|Rockwell Collins, Inc.|Vertical deviation indication and prediction system|
US7205933B1|2004-09-10|2007-04-17|Rockwell Collins, Inc.|Hostile platform position location utilizing networked communications|
US7242343B1|2004-09-15|2007-07-10|Rockwell Collins, Inc.|Directed sequential hazard assessment weather radar|
US7170446B1|2004-09-24|2007-01-30|Rockwell Collins, Inc.|Phased array antenna interconnect having substrate slat structures|
US7355672B2|2004-10-04|2008-04-08|Asml Netherlands B.V.|Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus|
US6977608B1|2004-12-15|2005-12-20|Rockwell Collins|Atmospheric data aggregation and forecasting system|
US7211810B2|2004-12-29|2007-05-01|Asml Netherlands B.V.|Method for the protection of an optical element, lithographic apparatus, and device manufacturing method|
US7161525B1|2005-02-22|2007-01-09|Rockwell Collins, Inc.|Turbulence display presentation|
US7151507B1|2005-06-16|2006-12-19|Rockwell Collins, Inc.|Low-loss, dual-band electromagnetic band gap electronically scanned antenna utilizing frequency selective surfaces|
US7292178B1|2005-07-28|2007-11-06|Rockwell Collins, Inc.|Aircraft hazard detection and alerting in terminal areas|
US7561247B2|2005-08-22|2009-07-14|Asml Netherlands B.V.|Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus|
DE102005051994B4|2005-10-31|2011-12-01|Globalfoundries Inc.|Deformation technique in silicon-based transistors using embedded semiconductor layers with atoms of large covalent radius|
DE102005057075B4|2005-11-30|2012-04-26|Advanced Micro Devices, Inc.|Semiconductor device having a copper alloy as a barrier layer in a Kupfermetallisierungsschicht and method for its preparation|
US7372049B2|2005-12-02|2008-05-13|Asml Netherlands B.V.|Lithographic apparatus including a cleaning device and method for cleaning an optical element|
US7158072B1|2006-09-08|2007-01-02|Rockwell Collins, Inc.|Ethernet connection of airborne radar over fiber optic cable|JP5534910B2|2009-04-23|2014-07-02|ギガフォトン株式会社|Extreme ultraviolet light source device|
DE102013213564A1|2013-07-11|2015-01-15|Carl Zeiss Smt Gmbh|Optical hollow waveguide assembly|
NL2012093C2|2014-01-16|2015-07-20|Univ Delft Tech|Improved extreme ultra violet light source.|
法律状态:
2009-05-06| AD1A| A request for search or an international type search has been filed|
优先权:
申请号 | 申请日 | 专利标题
US89880107|2007-09-14|
US11/898,801|US20090074962A1|2007-09-14|2007-09-14|Method for the protection of an optical element of a lithographic apparatus and device manufacturing method|
[返回顶部]